Instructions for Installing Verilator

We need a patched version of Verilator available from https://github.com/coverify/verilator. The following installation instructions are adapted from verilator.org.

Prerequisites:

sudo apt update
sudo apt install git perl python3 make autoconf g++ flex bison ccache
sudo apt install libgoogle-perftools-dev numactl perl-doc
sudo apt install libfl2  # Ubuntu only (ignore if gives error)
sudo apt install libfl-dev  # Ubuntu only (ignore if gives error)
sudo apt install zlib1g zlib1g-dev  # Ubuntu only (ignore if gives error)

Build and Install:

unsetenv VERILATOR_ROOT  # For csh; ignore error if on bash
unset VERILATOR_ROOT  # For bash
git clone https://github.com/coverify/verilator.git
cd verilator

git checkout euvm-dev      # Use euvm compatibility branch

autoconf         # Create ./configure script
./configure  --prefix=../verilator-install    # You will be required to provide an absolute path
make -j `nproc`  # Build Verilator itself (if error, try just 'make')
make install
1 Like

##issue with running verilator
#issue
“”
make
touch verilator.stamp
verilator -I…/rtl -Wno-WIDTH -Wno-CASEINCOMPLETE --trace --cc --euvm …/rtl/axi_regs.sv
%Error: Invalid option: --euvm
'"

I checked if i have cloned the correct repo , n it shows :
[fedora verilator]$ git checkout euvm-dev
Already on ‘euvm-dev’
Your branch is up to date with ‘origin/euvm-dev’.

what should I look into to fix it

Please check the path in which you have installed verilator , go to its bin directory and copy that path in the end of your .bashrc file
For example

PATH=/home/kun/workshop/tools/verilator-build/verilator-install/bin:${PATH}

After that write the command type verilator and check if it echoes the path. If it does then you are good to go.

1 Like

Also, please make sure that you have completed the installation steps properly. Including the following commmand:

git checkout euvm-dev